感悟网 导航

8路LED彩灯,要求效果(从左到右依次亮,从右到左依次亮,全灭全亮),最好有具体电路图,拜谢! 用最小系统和单片机做个8个led跑马灯,让灯从左到右每次两个...

作者&投稿:道谈 (若有异议请与网页底部的电邮联系)
控制8个LED灯,完成从左到右依次点亮,然后从右到左依次熄灭,魂环10次后完成全部闪烁。C语言代码~

#include#define uchar unsigned charvoid delay(uchar a){uchar i;while(a--)for(i=0;i>1)|0x80; delay(200); } } P0=0xff; while(1);}

需要的话
我今天就可以i写

电路狠简单。就不画了。说一下吧。 采用8个共阴二极管。 接单片机P1.0-P1.7
程序如下:
从左到右
ORG 0000H
AJMP MAIN
ORG 0030H
MAIN:MOV SP,#50

MOV A,#80H
MOV P1,A
LCALL DELAY
T1: RR A 从左到右依次亮
LCALL DELAY
LJMP T1
延时一秒程序:
DELAY:MOV R5,#200
D1: MOV R6,#250
D2:DJNZ R6,D2
DJNZ R5,D1
RET
END

如果想从右到左依次亮一开始那改成MOV A,#01H RR A 改成RL A就可以了。别的一样
全灭的话直接赋值 MOV P1,#00H
全亮的话直接赋值MOV P1,#0FFH
纯粹手打。希望采纳。

《8路LED彩灯,要求效果(从左到右依次亮,从右到左依次亮,全灭全亮),最好...》
答:如果想从右到左依次亮一开始那改成MOV A,#01H RR A 改成RL A就可以了。别的一样 全灭的话直接赋值 MOV P1,#00H 全亮的话直接赋值MOV P1,#0FFH 纯粹手打。希望采纳。

《有8只彩灯,要求自左向右,每1s依次点亮一只,循环两次后,八只彩灯同时点...》
答:八只彩灯从左往右,每一秒一次亮,一个循环两次,可以通过并联线路的形式,把八个电路连接一起

《数电课程设计(移位型彩灯控制器)》
答:花型一:8路LED灯两半依次点亮,从左至右渐亮,全亮后,再从左向右渐暗,循环一次的韵律。花型二:同样8灯,从右向左渐亮,循环中展现独特的光影变幻。花型三:左至右渐亮,全亮后则反向渐暗,如同灯光的交响乐章。三、技术解密:设计策略与方法 构建一个彩灯指挥中心,关键在于创新设计:总体构想:...

《八路彩灯控制器设计》
答:138的15 14 13 12 11 10 9 7接LED,LED的另一端接地 138的1 2 3 分别于161的14 13 12 连接即可 555的其它脚按书上的连哦161的10 7 1接VCC138的其他脚接地

《利用移位寄存器74ls194构成一个八只彩灯控制电路》
答:8路彩灯分为两级,每4个一组,用两个74LS194来实现,两种花型分别为从中间到两边对称性依次亮,全亮后仍由中间向两边依次灭。或者都从右往左依次亮再依次灭,通过对花型的分析可知其中一个双向移位寄存器 74LS194 的功能是先左移后右移即先是 S1=1,S0=0,后变成 S1=0,S0=1.而另外一个功能...

《求助:8路彩灯显示电路(数电设计)》
答:用四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干。便可以实现效果。

《如何设计8路彩灯控制器?》
答:1、 当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。3、 当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒...

《急!!!multisim仿真8路彩灯控制系统!!》
答:1. LM555CM 产生一个 1Hz 的 clock, 占空比约是 0.5 2. 开机时, R35 和 C3, 会复位整个系统, LED 一定会先从左向右逐次渐亮 3. 开机时, 第一计数器 U14/74HC193 会先从 0 上数到 7 (完成左向右逐次渐亮功能), 再从 7 下数到 0 (完成向左逐次渐灭功能)4. U19/74138 是 ...

《用电路仿真软件multisim设计一个彩灯控制器》
答:(一)设计要求包括:1、八路彩灯分别用8个发光二极管模拟,编号依次为0,1,…7,8个数码管依次显示;数字0、1、2……8不断循环,相应的8路彩灯能够自动循环点亮,每个数字显示时间相等;2、需要设计时钟脉冲产生电路,循环控制彩灯,时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,...

《如何用单片机设计一个八路彩灯控制电路原理图?要求亮的彩灯每次不少于4...》
答:MOV P0,#0FH MOV R0,#10H L1: MOV A,P0 RL A MOV P0,A DJNZ R0,L1 SJMP ST 这一段指令就可以实现八个灯的控制,四个亮四个灭,然后向左移动,如果想看到效果就必须在中间加延时,想让它慢一些就多延时一些,基本上1秒就可以看的很清楚,而不至于太慢 ...

   

返回顶部
本页内容来自于网友发表,若有相关事宜请照下面的电邮联系
感悟网